当前位置: 首页 > news >正文

网站开发流程的认识今日头条权重查询

网站开发流程的认识,今日头条权重查询,webapp与网站有何区别,在家做农业关注什么网站LV6_多功能数据处理器 题目来源于牛客网 [牛客网在线编程_Verilog篇_Verilog快速入门 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page1&tabVerilog篇&topicId301) 题目 描述 根据指示信号select的不同,对输入信号a,b实现不同的运算。输入信号a…

LV6_多功能数据处理器

题目来源于牛客网

[牛客网在线编程_Verilog篇_Verilog快速入门 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page=1&tab=Verilog篇&topicId=301)

题目

描述

根据指示信号select的不同,对输入信号a,b实现不同的运算。输入信号a,b为8bit有符号数,当select信号为0,输出a;当select信号为1,输出b;当select信号为2,输出a+b;当select信号为3,输出a-b.
接口信号图如下:

在这里插入图片描述

输入描述:

clk:系统时钟

rst_n:复位信号,低电平有效

a,b:8bit位宽的有符号数

select:2bit位宽的无符号数

输出描述:

c:9bit位宽的有符号数

代码

`timescale 1ns/1ns
module data_select(input clk,input rst_n,input signed[7:0]a,input signed[7:0]b,input [1:0]select,output reg signed [8:0]c
);
//*************code***********//
/*代码思路:case(select)来执行不同的输出
select = 0: 输出a
select = 1: 输出b
select = 2: 输出a+b	
select = 3: 输出a-b*/always @(posedge clk or negedge rst_n) beginif(!rst_n)c <= 0;else begincase (select)2'd0: begin c <= a;end2'd1: begin c <= b;end2'd2: begin c <= a + b;end2'd3: begin c <= a - b;endendcaseendend//*************code***********//
endmodule
http://www.ritt.cn/news/20878.html

相关文章:

  • 企业网站推广属于付费推广吗一个新手怎么去运营淘宝店铺
  • 怎么做视频平台网站高端网站建设的公司
  • 网站建设和网站开发淘宝直通车推广怎么做
  • 网站建设后期维护快速整站排名seo教程
  • 优质的网站建设河北seo
  • 千图网免费素材图库设计厦门seo培训学校
  • 安阳信息网长沙百度seo代理
  • 做网站做app什么专业旅游seo整站优化
  • 网站建设方案500字网络营销是学什么
  • 政府网站建设要求有哪些全自动推广软件
  • 网站项目框架百度竞价ocpc投放策略
  • 网站建设服务费怎么做会计分录seo关键词优化软件app
  • 单页面销售网站跨境电商平台有哪些
  • wordpress数据库内容调用无线网络优化是做什么的
  • 网站直播的功能怎样做百度宣传推广
  • 京东怎么做不同网站同步登陆的品牌策划公司介绍
  • 做网站都要会些什么如何建立免费个人网站
  • 江门恒达互联网网站建设百度客服人工在线咨询
  • 微擎如何做网站网络营销比较好的企业
  • 武警部门建设网站的好处百度云盘搜索引擎入口
  • 如何做直接打开网站的二维码seo经典案例分析
  • j2ee网站开发开题报告深圳网络推广最新招聘
  • 电子工程职业学院江北seo页面优化公司
  • 网站开发文档价格太原今日新闻最新头条
  • 购物网站哪里建最好推广一次多少钱
  • 上海网站建设案例seo网站优化排名
  • 手机微网站尺寸百度首页百度
  • 做动态网站的软件有哪些内容快手流量推广免费网站
  • 网站内容设计是什么广告平台网
  • 网站建设 网站推广整站seo排名费用价格